×

modelsim仿真,quartus怎么仿真?Quartus II调用modelsim无缝仿真详细图文教程

admin admin 发表于2024-01-20 12:48:02 浏览27 评论0

抢沙发发表评论

本文目录一览:

modelsim无法仿真怎么办?

重新连接网络或者打开modelsim.ini,定位到VoptFlow,将其值设为0,具体操作方法如下:
1、首先断网可以解决一直卡在loading的问题,断开网络连接再重新连接,显示loading后会成功显示。
2、找到你的电脑modelsim安装目录搜索modelsim.ini打开,定位到VoptFlow,将其值设为0,即关闭设计的自动优化。
3、停止在22句上,下图中显示的第一行,手动修改成 vsim -novopt work.top就可以仿真。
4、一次性编译库(tool-launch EDA simulation Library Compiler ),然后返回仿真设计界面(Assignment-settingèsimulation-more Nativelink setting )设定好刚才编译好的库路径。

modelsim仿真不出波形显示win64错误?

这个问题可能是由于在使用ModelSim进行仿真时,未正确安装或配置所导致的。
以下是一些常见的解决方法:
确认你的ModelSim版本是否与你的操作系统兼容。如果你的操作系统是64位的,那么你应该使用64位的ModelSim版本。
检查是否已经正确地配置了ModelSim的环境变量。如果没有,请参考ModelSim的安装手册或者网上的教程进行正确的配置。
如果你是在Windows 10操作系统下运行ModelSim,可以尝试将ModelSim运行时设置为“兼容模式”。在ModelSim的安装目录中找到modelsim.ini文件,右键点击选择“属性”,在“兼容性”选项卡中选择“Windows 7”,然后单击“应用”和“确定”。
尝试升级你的ModelSim版本,或者使用其他仿真软件进行仿真,例如Xilinx Vivado。
希望以上解决方法能够帮到你。如果问题仍然存在,请提供更多详细信息以便进一步诊断。

quartus怎么仿真?Quartus II调用modelsim无缝仿真详细图文教程

Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,那么quartus怎么仿真?Quartus II怎么调用modelsim无缝仿真?下面我们的小编带来Quartus II调用modelsim无缝仿真详细图文教程。
Quartus 中调用modelsim的流程:
1. 设定仿真工具
assignmentsettingEDA tool settingsimulation 选择你需要的工具。
2. 自动产生测试激励文件模板:
processingstartStart test bench template writer
我们点击之后系统会自动在目录:当前文件夹 simulation modelsim (这个文件夹名字跟你选的仿真工具有关) 中产生一个测试激励文件 xxx.vt(Verilog test bench) 或者 xxx.vht(VHDL test bench), 文件名跟你工程中的Top module 的名字一样, 后缀为.vt或者.vht。
3. 编辑走动生成的test bench文件
我们加入自己需要的激励以及初始化语句,这里我们还要修改test bench的模块名字为tb(我们会看到这个名字和后面的设定有联系)。
4. 连接test bench,我们需要从Quartus中自动调用仿真工具,所以需要设定Native Link选项。
a) 还是在simulation的设置页面里,设定Native Link对话框中的设定。我们这里因为需要工具自动调用激励所以选中
b) 点击右边的Test Benches, 我们需要在这里设定一个相关联的test bench.
这里会弹出一个让你指定test bench的对话框,因为我们之前没有指定任何的test bench,所以这里是空白的。
c) 指定test bench
因为我们是第一次产生test bench,点击new.
点击New之后会产生一个New Test bench setting的对话框,在这里你将test bench和你的相应的test bench file进行绑定。
我们这里在Test bench name的对话框中输入一个名字my_1st_tb, 我们将看到,在下面的Top level module in test bench对话框中也自动显示my_1st_tb. 注意这个名字应该和你的test bench 中的module name一直,我们之前在第3步的时候将test bench的module name已经改成了tb,所以我们这里应该把对话框中的名字改成tb。
d) 加入test bench文件
5. 进行仿真
当这些设定都完成了之后, 选择菜单
toolRun EDA Simulation toolEDA RTL simulation
就可以直接调用modelsim进行仿真。
6. 小技巧:
我们这样调用仿真,如果是Modelsim AE每次不会编译lib文件,但如果我们使用的是Modelsim SE版本,每次调用都需要重新编译库,非常不爽,在这里我们建议自己修改脚本文件,进行仿真.
a) 当我们按照之前的描述,运行完仿真之后,停留在Modelsim的界面。
b) 在Modelsim界面的命令行上,我们点向上的方向键,就会出现我们上一条指令, 我们可以看到是
do xxxx.do 这说明工具执行的上一个命令式 xxxx.do这个脚本文件,我们这里的例子是
do oversampling_core_run_msim_rtl_verilog.do
i. 我们知道了工具执行的脚本,我们就可以按照自己的想法去改变这个脚本了。使用
edit oversampling_core_run_msim_rtl_verilog.do命令,可以看到这个脚本的内容(当然我们也可以使用Ultra Edit或者VIM等文本编辑软件去打开这个脚本文件)。这个脚本通常分成3部分 库文件编译部分,设计文件编译,运行参数设定和开始执行部分
ii. 通常来说库文件只在第一次编译的时候,需要编译,后面我们只需要在仿真的时候指定库文件的位置就好了,不需要每次都编译。因此我们可以注释掉库文件编译部分。下面图中红色框中的部分就是被注释掉的库编译部分,这样会节省我们的仿真时间,注意在脚本语言中#是注释符。
iii. 我们将编辑过的脚本文件另存为sim.do, 在以后的仿真中我们可以在Modelsim命令行中,直接在脚本中运行do sim.do(当然是先需要将Modelsim的工作目录改到 工程所在文件夹/simulation/modelsim/)。
c) 另外脚本生成的波形文件通常是将test bench的顶层加入到图形画面中我们可以看到在脚本的第3部分(运行参数和开始执行)部分,默认命令式
add wave *, 这条命令就是讲 test bench顶层的所有信好加入到wave窗口中。
对我们来说,在调试阶段,有很多底层信号都是想观测的,所以需要再手动修改一下命令
i. 在modelsim的窗口中,选中自己想要关心的模块,右键可以将自己关心的信号加入wave波形中
ii. 此时我们在wave 窗口中可以将这个波形的格式存下来,在wave窗口中点菜单filesave 选择文件名为wave.do.
iii. 在我们执行的脚本中将add wave *,这个命令替换成do wave .do, 就可以在每次执行仿真的时候自动添加想要观测的波形了。
7. 接下来最后一个问题,怎样才能一次性的编译好库文件,让软件不再每次编译。其实altera已经为客户准备了相应的选项,只不过藏得比较深,不好找。
a) 一次性编译库:
i. 点击tool launch EDA simulation Library Compiler
ii. 我们会看到一个让我们选择器件的页面。根据你的实际情况选择下面的设定。点击start compile,软件会自动帮你完成编译,然后关掉就好,至此你应该已经完成了库的编译。
iii. 接下来我们需要在QII的仿真设定页面做一些修改。
我们需要回到设定界面
Assignmentsettingsimulationmore Nativelink setting
设定好相应的路径。
好了,重新run仿真,就发现不会再编译我们的库文件了。

modelsim仿真不出波形

modelsim仿真不出波形的原因是你的代码编写有误,或者你的仿真脚本(nativelink)设置有误。解决办法:遇到这种问题,解决思路很简单,查看Modelsim仿真软件的Transcript窗口中的报告内容。这个窗口里面的内容有很多,是可以通过滑动条或者鼠标滚轮上下翻动的,找到报error的信息,双击,如果是代码错误,就可以自动定位到错误位置。一定要看这个信息窗口,还要翻滚着看,把里面的error、waring都分析一下。搞不定的再到我们的客户群里面截图这个信息框的内容来提问。

modelsim 仿真问题?

modelsim仿真错误解决办法
编译不成功可能是因为:
  1、本身程序有问题。
2、没有设置顶层文件
3、modelsim 出现错误是不要只是看错误的地方,也要看前面的一部分
这样的问题出错,已经常见到,可能是某葛爱普拥有软件,暂时出现一些问题,出现点故障,给我们的操作使用带来不便,不过过一会儿它会自行恢复的
1、Can't launch the ModelSim-Altera software
这是由于Quartus II 软件中与 Modelsim 关联设置不对,即 modelsim 路径设置有误。解决方法如下:
Tools -> Options -> General -> EDA Tool Options
2、Error deleting “msim_transcript”
'Errorloadingdesign
仿真的时候
出现这种错误
是加在程序的时候
出现了错误
你可以把机器重新启动一下
在进行尝试
modelsim 仿真问题,还是有很大作用的。

quartus13.0中自带的波形仿真和用modelsim仿真有什么区别,然后还有testbench到底是什么?

quartus13.0中自带的波形仿真和用modelsim仿真区别为:实现不同、创建测试平台不同、编译仿真速度不同。
一、实现不同
1、quartus13.0中自带的波形仿真:quartus13.0中自带的波形仿真可以直接实现波形仿真。
2、modelsim仿真:modelsim仿真只能通过建立.vwf波形文件的形式完成仿真。
二、创建测试平台不同
1、quartus13.0中自带的波形仿真:quartus13.0中自带的波形仿真可以自动地从QuartusII仿真器波形文件中创建完整的HDL测试平台。
2、modelsim仿真:modelsim仿真不可以自动对波形文件中创建完整的HDL测试平台。
三、编译仿真速度不同
1、quartus13.0中自带的波形仿真:quartus13.0中自带的波形仿真的编译仿真速度非常慢。
2、modelsim仿真:modelsim仿真采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快。
testbench是一种验证的平台。任何设计都是会有输入输出的。但是在软环境中没有激励输入,也不会对设计的输出正确性进行评估。此时便有一种,模拟实际环境的输入激励和输出校验的一种“虚拟平台”的产生。在testbench这个平台上可以对设计从软件层面上进行分析和校验。

Quartus18 Modelsim-Altera 仿真

Quartus Prime 中集成了Modelsim,可以调用Modelsim对顶层文件进行仿真。

Tools--Options

General--EDA Tool Options,设置仿真工具的目录。右侧窗口选择你电脑Quartus安装目录中Modelsim-altera的位置,示例如图

Assignments -- Settings,弹出的对话框中,左侧选择EDA Tool Setting -- Simulation,右侧的第一个下拉列表选择ModelSim-Altera,示例如图。

先对工程执行分析综合,然后利用工具自动生成测试文件模板,操作如下。

生成的.vt文件位于当前工程目录中..\simulation\modelsim\ 文件夹内。
用Quartus打开.vt 文件

刚才自动生成的 .vt只是一个模板,并不完整,需要补充。在vt文件中,编辑需要的测试激励后,保存。记下vt文件中的顶层模块名和文件名,比如下图中的顶层模块是Uart_FSM_vlg_tst,文件名是UART_FSM.vt

Assignments -- Settings,弹出的对话框中,左侧选择EDA Tool Setting -- Simulation,右侧的第一个下拉列表选择ModelSim-Altera,下面添加Test Benches,流程示例如图。

第一个Test Bench name给当前的仿真起个名字
第二个Top level module ....输入测试文件.vt里顶层module的名字
建议先填第二个
点击Add后,点击OK--OK---OK........

Tools -- Run ---RTL Simulation(寄存器传输级仿真,与功能仿真相同)

也可以选择:Tools -- Run ---Gate level Simulation(门级仿真,与时序仿真相同)。
如果使用时序仿真,需要设置正确的芯片型号并将工程编译后再仿真。

常见错误:
1、功能仿真时,输出无信号,波形显示红色直线。
原因:可能是由于设计文件中的寄存器没有初始值,而电路基于该寄存器进行了取值、判断等操作,导致获取不到寄存器的值。
解决办法:为电路添加复位信号,在复位信号有效时给寄存器赋初值。
说明:这是仿真器的问题。在实际电路中,芯片上电后,寄存器内必然有值。
2、error deleting "msim_transcript": permission denied.

原因:Modelsim已经打开了,不能进行新的仿真。
解决办法:关闭已经打开的Modelsim,重新启动仿真。
3、其他错误解决办法
测试文件如果有语法错误的话,会在modelsim的输出窗口以红色字体给予提示。

modelsim仿真软件?什么意思?这软件是制作什么功能?

modelsim是进行电路仿真的,要知道电路由各种器件组合在PCB板上而成,与软件设计不同,电路做成实物成本较高,难于修改,所以要有这样的软件,先在电脑上模拟电路制作出来以后的运行功能状态(这里面有复杂的器件模型),然后看看是不是符合你的预期,如果有问题,就要调整你的电路(通常是用HDL语言),直到看上去都没什么问题,才下决心去制板,这样成功率就比较高啦(虽然绝大多数情况下,我做出来的板子还是会让我崩溃……)。

ModelSim仿真流程

关于ModelSim仿真流程

  EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。下面我准备了关于ModelSim仿真流程,欢迎大家参考!

  1、 运行ModelSim,如果上一次使用ModelSim建立过工程,这时候会自动打开

  上一次所建立的工程;

  2、 点击File->New->Project,在Project Name中我们输入建立的工程名,

  在Project Location中输入工程保存的路径,注意ModelSim不能为一个工程

  自动建立一个目录,这里我们最好是自己在Project Location中输入路径来

  为工程建立目录,在Default Library Name中为我们的设计编译到哪一个 库中,这里我们使用默认值,这样,在我们编译设计文件后,在Workspace窗口的Library中就会出现work库。这里我们输入完以后,点击OK;

  3、 如果提示我们给定的工程路径不存在,是否建立该路径,我们的目的就是为工程建立一个新目录,因此,点击确定;

  4、 点击Create New File可以为工程添加新建的文件,点击Add Existing File为工程添加已经存在的文件,点击Create Simulation为工程添加仿真,点击Create New Folder可以为工程添加新的目录。这里我们点击Create New File;

  5、 我们在File Name中输入文件名称,Add file as type为输入文件的类型为VHDL、Verilog、TCL或text,这里我们使用默认设置VHDL,Folder为新建的文件所在的'路径,Top Level为在我们刚才所设定的工程路径下。点击OK;并在Add items to the Project窗口点击Close关闭该窗口;

  6、这时候在Workspace窗口中出现了Project选项卡,在其中有文件名.vhd,其状态栏有一个问号,表示未编译,我们双击该文件,这时候出现编辑窗口,在其中我们输入我们的设计文件

  7、 点击File->Save,并退出该窗口(File->Close);

  8、 在WorkSpace窗口的文件名.vhd上点击右键,选择Compile->Compile All;

  9、 在脚本窗口中将出现一行绿色字体Compile of DivClkHDL.vhd was successful.,说明文件编译成功,在该文件的状态栏后有一绿色的对号,表示编译成功;

  10、下面我们开始仿真,点击菜单Simulate->Simulate,展开Design选项卡下的work库,并选中其中的behavioral,这是在Simulate中出现了work.实体名(behavioral)表示我们所要仿真的对象,Resolution为仿真的时间精度,这里我们使用默认值,点击OK;

  11、 为了观察波形窗口,我们点击菜单View->Wave;

  12、这时候出现的Wave窗口为空,里面什么都没有,我们要为该窗口添加我们需要观察的对象,首先在主窗口而不是波形窗口中点击View->Signals打开信号列表窗口,在改窗口中点击Add->Wave->Signals in Design,这时候在波形窗口中就可以看到这些信号了;

  13、 下面我们就开始仿真了,在主窗口中输入命令对信号进行驱动仿真

  14、 退出仿真,在主窗口中点击Simulate->End Simulation,会出现对话框,提示我们是否确认退出仿真,我们点击是退出仿真; ;

如何使用ModelSim對Megafunction或LPM作仿真

  在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:
  ·Quartus不支持Testbench;
  ·调用了megafunction或者lpm库之类的Altera的函数;
  ·时序仿真要在Modelsim下做仿真。
  下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II软件中自带有Altera的仿真库,只要把它拿到
  ModelSim中去编译一下就可以了,具体步骤如下:
  1.设置仿真库路径
  打开ModelSim安装目录(我用的是ModelSim SE 6.2版本,安装在D:\ModelSim\Installfiles目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。
  启动ModelSim SE 6.2,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件夹。或在命令行中执行cd D:/ModelSim/Installfiles/altera。
  2.新建库
  Quartus II中提供的仿真库文件存放的路径是…\altera\80\quartus\eda\sim_lib,每个库文件提供了两种形式:。v(Verilog)
  格式和。vhd(VHDL)格式两种,根据你所用的语言选择使用。用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。网上的很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。下面以该目录下的altera_mf.v为例介绍建立预编译库的方法。
  注:ModelSim中仿真库可以分为两大类:第一类是工作库(working),默认值为“work”目录,work目录中包含当前工程下所有被编译的设计单元,编译前必须建立一个work库,并且每个编译有且仅有一个work库;第二类是资源库(resource),存储能被当前编译引用的设计单元,在编译期间允许有多个resource库。
  在主窗口中选择【File】/【New】/【Library】命令,新建一个名为altera_mf的库。
  3.编译库
  方便起见在altera文件夹下新建目录src,把用于编译资源库的文件复制到src文件夹中。
  在主菜单中选【Compile】/【Compile】命令,弹出Compile Source Files对话框,library中选择你刚才建立的库名
  altera_mf,查找范围你选择altera_mf.v文件,刚才你已经把它复制到了…\altera\src目录下。执行编译命令。
  继续按照步骤2和3中介绍的方法添加剩下的几个库。添加完成后如下图所示。
  4.配置modelsim.ini文件
  这一步是为了将前面建立的库添加进系统库,以后就不用再重复添加了。
  ModelSim安装根目录下的配置文件modelsim.ini的只读属性去掉,用记事本或其他文本编辑程序打开。在[Library]下修改前面
  添加的库的路径。注意修改后关闭并改回只读属性。
  注:第1步设置的仿真库路径必须在ModelSim的安装目录下才能事业能够此相对路径。
  altera_primitives = $MODEL_TECH//altera/altera_primitives
  220model = $MODEL_TECH//altera/220model
  altera_mf = $MODEL_TECH//altera/altera_mf
  5.添加Altera底层硬件原语仿真库(ATOM)
  在时序仿真时,必须加载所使用到的对应于具体Altera器件的底层硬件原语的仿真库(ATOM)。
  上面编译了Altera三个资源库文件:220model.v,altera_mf.v,altera_primitives.v,编译通过之后。根据我们选用的具体器件型号继续编译我们所需要的器件底层原语仿真库文件,比如我们选择使用的是cycloneii,所以编译cycloneii_atoms.v。
  另外,在进行仿真的时候,还要注意将上述已经编译的相关库添加到当前的工程中,以便相关lpm之类的核的调用,操作如下:在Start Simulation窗口的libraries一栏下进行添加,如图:
  然后再选择work目录中当前工程的顶层实体进行仿真即可。
  若是要进行时序仿真,则还应在SDF一栏进行。sdo延时文件的添加。